Jeu de coureur en VHDL (1 / 10 étapes)

Étape 1 :

La première grande partie de VHDL qui nous mis en place était la logique de jeu. Dans ce module, nous avons créé au sein de l’entité sorties pour les emplacements de quatre obstacle, le score, vit à gauche et le jeu au cours de l’État. Depuis que nous avons ajouté dans une horloge avec la fréquence de 8Hz. Les constantes que nous avons utilisé pour créer cette fréquence sont nombre entier et représente notre fréquence souhaitée des mises à jour de logique de jeu. Ensuite, nous avons généré une séquence aléatoire pour les obstacles à afficher. Par l’utilisation d’un LFSR, nous étions en mesure de faire face à un générateur de nombres pseudo-aléatoires pour générer les obstacles dans le jeu. Obst_out est la sortie vue et que la sortie est envoyée vers le module pick_a_lane qui décide de l’obstacle qui les quatre voies vont aussi.

La prochaine partie consiste à décider si oui ou non le joueur a été touché par un obstacle ou non. Par l’utilisation de signaux, que nous avons pu garder trace des où les joueurs et les obstacles étaient en permanence. Grâce à cela, nous pouvions aussi garder une trace de combien hits, le joueur a fait avec l’obstacle avant d’atteindre le jeu état survolé. Une autre horloge a été mis en place spécifiquement pour la logique de « jeu » du circuit. Il est beaucoup plus lent que l’horloge d’autre signaux car elle détermine la fréquence à laquelle les objets sur l’écran sont mises à jour et à quelle rapiditè les obstacles se déplacent sur l’écran. Une fois que nous avons eu l’horloge « jeu logique » mis en, nous avons pu créer une réinitialisation globale. Ce reset signal est lié à tous les composants de la logique de « jeu » et lorsque le signal est élevé, il réinitialise tous les éléments du jeu. Ensuite, nous avons instancié le quatre SRs pour les quatre voies. Les entrées d’obst_in au service SRs liées aux indices DJ arbitrairement choisis de nombre binaire de la 32 bits de la LFSR stockée. Les sorties de ces SRs sont liés à l’ourputs du module game_mechanics. Une fois que les quatre SRs ont été instanciés nous mettons dans un LFSR qui sert à générer de nouveaux obstacles dans les quatre voies de façon Pseudo-aléatoire. Puis, un processus est utilisé pour générer notre désiré « pendule » avec une fréquence de 8Hz et l’enable_game_update de signal ne sera élevée 16 fois par seconde. Ensuite, à travers une série d’if/else instructions, un processus a été créé afin de déterminer le mouvement du joueur. Le joueur ne doit se déplacer vers le haut ou vers le bas entre les voies. Elle s’exécute chaque fois qu’un changement dans l’entrée pour lecteur est détecté, parce que c’est lorsque l’utilisateur souhaite déplacer leur personnage. Après que le processus de player_movement a été mis en place, un processus de détection atteinte était nécessaire. Ce processus est responsable de la vérification pour voir si le joueur frappe un obstacle quand l’obstacle entre dans la zone « lecteur ». Avec ce processus de hit_detection, un processus appelé scoring_system a été mis en action à travers un if/else instruction qui incrémente une fois par seconde. Enfin, un processus appelé check_for_game_over est nécessaire pour compléter la logique de jeu. Instructions using if/else et par le procédé de global_reset, nous avons pu vérifier si la lose_state était élevée ou basse. Si lives_left est égal à zéro, puis « Game Over ».

Articles Liés

Jeu VHDL: LED - bouton Push jeu Instructable

Jeu VHDL: LED - bouton Push jeu Instructable

Bienvenue ! Il s'agit une instructable pour créer un jeu simple de VHDL en utilisant les LEDs et les boutons que nous avons appelé PushPace. Avant de continuer, Voici les antécédents et l'inspiration derrière le jeu.Arrière-plan Si vous avez grandi d
Jeu de FPGA BitRunner

Jeu de FPGA BitRunner

Créez et jouez votre propre jeu de Runner Bit. Aucune console ou ordinateur costaud requis ! Appuyez sur le bouton de dodge à esquiver les obstacles entrants pour obtenir le meilleur score ! Ce projet est décemment difficile, un défi pour les débutan
Faire un jeu vidéo 2D avec l’unité

Faire un jeu vidéo 2D avec l’unité

Dans ce Instructable, je vais vous montrer de faire un jeu vraiment simple de vidéo 2D. C'est un jeu de style coureur infinie, comme "Fly with Me" ou « DoodleJump ».Essayez-le ici!!J'ai fourni un zip de l'exe du jeu que je serai vous montrant co
Jeu de dés de baseball

Jeu de dés de baseball

Il s'agit d'un amusant jeu qui je suis venu avec. La meilleure chose à propos de ce jeu est que tout le monde devrait déjà avoir toutes les pièces nécessaires à jouer quelque part dans leur maison.Étape 1: Étape 1: rassemblez les fournitures2 six fac
L’étonnant jeu de labyrinthe pour Nexys 3

L’étonnant jeu de labyrinthe pour Nexys 3

Bonjour à tous ! Il s'agit de la création d'un jeu de labyrinthe reprogrammable Nexus 3 planches.Nous sommes deux étudiants à Cal Poly San Luis Obispo et il s'agit de notre dernier projet pour notre classe de Design numérique. L'objectif était de cré
VHDL photosensible Synth Machine

VHDL photosensible Synth Machine

Ce projet et son tutoriel ont été effectuées dans le cadre de notre projet finale CPE 133 à Cal Poly San Luis Obispo. Notre objectif était de créer un jeu de logique complet en VHDL en utilisant une carte FPGA 3 Nexys et une composante hors Commissio
Communication formation, activité scolaire ou jeu de la jeunesse.

Communication formation, activité scolaire ou jeu de la jeunesse.

J'ai utilisé plusieurs fois cette activité avec les élèves du secondaire. Il se fait dans une course, avec autant d'équipes que vous le souhaitez. Il inspire le vieux jeu du téléphone, où une phrase est murmurée d'une personne à l'autre, généralement
Cadeau de vacances - jeu de société coréenne, 'Yut-non-ri'

Cadeau de vacances - jeu de société coréenne, 'Yut-non-ri'

il s'agit de la version papier du kit jeu Yut-non-ri. Vous pouvez faire votre propre jeu de société ou vous pouvez offrir à vos amis cette version du kit. Il y a des équipements pour jouer à ce jeu, comme les quatre bâtons en bois, coureurs et platea
Fabrication de graphiques pour un jeu de course Scratch

Fabrication de graphiques pour un jeu de course Scratch

ce tutoriel va vous montrer comment faire un jeu de course à l'intérieur de zéro.Etape 1: Avant de commencer vous devez... PowerPoint : achat pour Mac ou PC.Rayer : Libre à http://www.scratch.mit.eduÉtape 2: Faire les décors. 1) utilisez l'outil de d
Vecteurs de course jeu (carrera de vectores)

Vecteurs de course jeu (carrera de vectores)

aucun aficionado de soy muy a los juegos en général, pero hace unos años, cuando me enseñaron este, me gustó mucho y lo jugamos durante un buen tiempo en el trabajo, fr el tren y en casa.Ignoro quién lo inventó. Requiere pocas habilidades, aucun depe
Jeu de Pong à l’aide de kit de fpga

Jeu de Pong à l’aide de kit de fpga

Bonjour tout le monde. ici, j'ai partagé le projet « pong jeu en utilisant le kit de fpga ». FPGA est field programmable gate tableau. C'est une technologie que nous pouvons concevoir n'importe quel appareil numérique par programmation. Cela signifie
Jouer à un jeu dans Google Chrome en mode hors connexion

Jouer à un jeu dans Google Chrome en mode hors connexion

Je sais qu'il ressemble à une blague mais ce n'est pas... croyez-moi !Intégrant tous l'étape ici:-Étape 1:- Déconnectez votre connexion internet juste pour un certain temps.Étape 2:- Ouvrez un nouvel onglet dans Google Chrome ou directement ouvrir un
Jeu de LED Timer Nexys3

Jeu de LED Timer Nexys3

Il s'agit d'un tutoriel pour savoir comment rendre le jeu de la minuterie. Le jeu de la minuterie est inspiré par le léger jeu d'arcade, le jeu où une lumière se déplace le long d'un chapelet de lumières et vous essayez de l'arrêter sur le voyant rou
Décimal en binaire Conversion jeu

Décimal en binaire Conversion jeu

« Il y a seulement 10 types de personnes dans le monde : ceux qui comprennent le binaire et ceux qui n'ont pas. »Ce jeu amusant et éducatif teste votre capacité à convertir des nombres décimaux en nombres binaires. C'est aussi un excellent moyen pour