Etape 5: Mise à jour des contraintes et des sorties haut Module
Afin que le signal rejoindre le port USB de la Basys 3 le xdc fichier doit être actualisé avec les lignes suivantes ajoutées :
set_property PACKAGE_PIN A18 [get_ports tx] set_property IOSTANDARD LVCMOS33 [get_ports tx]
Ceci demande la tx appelé net à la broche A18 sur le FPGA. Pour mettre ce fil dans le module supérieur la ligne sortie tx doit également être ajouté à la liste I/O.
Si vous utilisez le fichier .xdc maître pour le 3 Basys juste décommentez les lignes 283 et 284.