Étape 13 : Ajouter contrainte fichier
Aller à la page Web Digilent Nexys 4 et téléchargez le fichier zip de xdc
http://www.digilentinc.com/Data/Products/NEXYS4/ne...
Enregistrer dans un répertoire que vous pouvez avoir accès à et décompressez-le
Sous le panneau de gestionnaire de projet. Double cliquez sur « Ajouter Source ». Choisissez « Ajouter ou créer de contrainte ». Cliquez sur suivant
Cliquez sur Ajouter un fichier. Choisissez le « Nexys4_Master.xdc ». Cliquez sur terminer
Développez le dossier de contrainte sous panneau de sources et double-cliquez sur le fichier xdc
Décommentez la SW 0 à 3 en supprimant le « # ». Les pièces "BOLD" ne devraient pas avoir un « # » au début
Banque = 34, nom de code Pin = IO_L21P_T3_DQS_34, nom de Sch = SW0
set_property PACKAGE_PIN U9 [get_ports {sw [0]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw [0]}] Banque = 34, nom de code Pin = IO_25_34, nom de Sch = SW1 set_property PACKAGE_PIN U8 set_property [get_ports {sw [1]}] IOSTANDARD LVCMOS33 [get_ports {sw [1]}] Banque = 34, nom de code Pin = IO_L23P_T3_34, nom de Sch = SW2 set_property PACKAGE_PIN R7 [get_ports {sw [2]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw [2]}] Banque = 34 , Nom de code pin = IO_L19P_T3_34, nom de Sch = SW3 set_property R6 PACKAGE_PIN set_property [get_ports {sw [3]}] IOSTANDARD LVCMOS33 [get_ports {sw [3]}]
Décommentez la led 0 en supprimant le « # ». La partie "BOLD" n’ait pas un « # » au début
Banque = 34, nom de code Pin = IO_L24N_T3_34, Sch nom = LED0
set_property T8 PACKAGE_PIN set_property [get_ports {led [0]}] IOSTANDARD LVCMOS33 [get_ports {led [0]}]