Étape 7: Créer le fichier XDC
Le circuit a été mis en place mais les outils Xilinx a toujours besoin de savoir quelles broches physiques sur le FPGA l’entrée et sorties sont mappées aux. Le fichier XDC donnera les outils pour cette information. Veuillez choisir votre Conseil d’administration dans le menu déroulant ci-bas pour voir le fichier XDC correspondant à votre planche, comme lieux de goupille de LD0 et SW0 varie de carte à carte.
1 set_property PACKAGE_PIN U9 [get_ports {sw}]
2 set_property IOSTANDARD LVCMOS33 [get_ports {sw}]
3 set_property PACKAGE_PIN T8 [get_ports {mené}]
4 set_property IOSTANDARD LVCMOS33 [get_ports {mené}]
Vous pouvez trouver l’emplacement que vous avez besoin depuis le schéma de votre carte FPGA, ou vous pouvez télécharger le maître XDC pour votre carte mère sur le site de Digilent et copiez les lignes correspondantes pour cette étape.
N’oubliez pas que le fichier XDC connecte le signal que vous avez décrit dans votre fichier de bonano sur la broche de physique sur la planche. Si le signal est nommé sw dans le fichier de bonano, puis l’axe physique doit être nommé sw dans le fichier .xdc.