Étape 7: Décodeur LED Module
Le décodeur LED prend des signaux d’entrée des interrupteurs et le signal d’activation de LED du compteur vers le bas. Fonction du décodeur de la LED est de convertir les signaux binaires mappés des interrupteurs sur un BUS de feux à del. Le BUS déterminera ensuite le montant correspondant des LEDs qui se trouvent sur. Notre décodeur est mis en œuvre avec les instructions case et il ne convertit pas effectivement entrées binaires aux résultats de la décimales, mais la fonctionnalité du circuit semble de le faire, comme la quantité de LEDs sur en décimal sont corrélés au nombre binaire saisi.
entity LED_dec is<br> Port ( LED_en : in STD_LOGIC; sw : in STD_LOGIC_VECTOR (2 downto 0); ppl : out STD_LOGIC_VECTOR (6 downto 0)); end LED_dec;
architecture Behavioral of LED_dec is
begin process (LED_en, sw) begin if (LED_en = '1') then case sw is when "000" => ppl <= "0000001"; when "001" => ppl <= "0000001"; when "010" => ppl <= "0000011"; when "011" => ppl <= "0000111"; when "100" => ppl <= "0001111"; when "101" => ppl <= "0011111"; when "110" => ppl <= "0111111"; when "111" => ppl <= "1111111"; when others => ppl <= "0000000"; end case; end if;
La LED signale que tous devenus 0 lorsque le signal lumineux est 0.
if (LED_en = '0') then ppl <= "0000000"; end if; end process;
end Behavioral;