Étape 13 : Ajouter des contraintes
21. développez le dossier de « Contraintes », sous l’onglet « sources ». Faites un clic droit et sélectionnez "Ajouter des Sources" (Image 13)
22. sélectionnez « Ajouter ou créer des contraintes » et appuyez sur next.
23. Appuyez sur « Ajouter fichiers » et accédez à où est enregistré le fichier « Nexys4_Master.xdc ». Si vous avez besoin de ce fichier, télécharger à partir http://www.digilentinc.com/Products/Detail.cfm?NavPath=2, 400, 1184 & Prod = NEXYS4
24. Appuyez sur OK et terminer, assurez-vous que l’option pour copier le fichier à votre projet local est sélectionnée.
25. Ouvrez le fichier de contraintes, et décommentez les lignes : 8,9,10, 59,60, 194 et 195
26. modifier les noms de ports dans ces propriétés, faire correspondre les noms de ports dans votre diagramme de bloc
set_property PACKAGE_PIN E3 [get_ports clock_rtl] set_property IOSTANDARD LVCMOS33 [get_ports clock_rtl] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clock_rtl]
set_property PACKAGE_PIN P4 [get_ports reset_rtl_0 ] set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl_0 ]
set_property PACKAGE_PIN E16 [get_ports reset_rtl] set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl]
27. Veillez à enregistrer le fichier .xdc mis à jour (appuyez sur Ctrl-S)